Version |
Release |
改版履歴 |
3.84D |
May.23.2011 |
GUI
- Fixed bug regarding library folder on Windows7-64bit
|
3.84C |
Apr.12.2011 |
Preprocessor
- Fixed bug of `include statement with macro
|
3.84B |
Apr.11.2011 |
Preprocessor
- Improved 'include statement with macro
Compiler
- Fixed constant function bug
- Fixed case statement bug
|
3.83B |
Jan.28.2011 |
Compiler
|
3.83A |
Oct.22.2010 |
GUI
- Minor improvement for windows7
Compiler
- Addressed to Altera 10.1 library
|
3.80G |
Oct.18.2010 |
Compiler
|
3.80F |
Jul.29.2010 |
Compiler
- Fixed particular address write failure
- Fixed task parameter problem
GUI
|
3.80D |
Jun.25.2010 |
Compiler
- parameterの再定義のwarning レベルを変更
GUI
|
3.80C |
Jun.17.2010 |
GUI
- レジストリ初期化方法の変更
- EC2027ErrorのFix(3.79F-)
|
3.80A |
May.28.2010 |
GUI
- Internal Update for sv version.
|
3.79F |
May.18.2010 |
GUI
- Improved performance for text output.
|
3.79E |
May.10.2010 |
GUI
- Inhibit adding waveforms in running simulation
|
3.79D |
Apr.21.2010 |
Compiler
- Support binary operator ~^ ^ in const function
- Fixed multi-array bug in vpi operation.
|
3.79B |
Apr.6.2010 |
Compiler
- Fixed crash due to empty generate statement
- Improved error msg for invalid signal
- Support +:-: for generate statement
- Fixed inline function width generate statement
- Fixed module parameter override with inline function
- Fixed module parameter range override
- Fixed inline nested function call
- Fixed real to integer bug
- Fixed case constant 0/1 expression bug
- Fixed deassign bug.
GUI
- signed scale check box 追加、signed scale 表示方法変更(F.A.Q.745)
|
3.77E |
Mar.10.2010 |
GUI
- アナログ表示のモードレスダイアログ化(F.A.Q. 729,730,735)
Compiler/GUI
- ライブラリファイルのファイル拡張子を設定可能(F.A.Q. 739)
|
3.77B |
Mar.7.2010 |
GUI
- Fixed bugs regarding 3.76A/3.77A.(F.A.Q.729)
|
3.77A |
Mar.3.2010 |
Compiler
- Fixed Altera SDF backannotation(F.A.Q.728)
|
3.76A |
Mar.22010 |
Compiler
- Support udp under generate statement(F.A.Q.726)
- Fix signed comparison in net.
GUI
- Analog automatic scale mode applied by default(F.A.Q. 727)
- Improved missing bottom waveform(F.A.Q 724)
- Add Scope Tree View to Ctrl-Tab toggle mode(F.A.Q.724)
- Add Sort by Declaration Order in scope tree view.(F.A.Q.725)
- Add "VF" file in file dialog (F.A.Q.727)
|
3.75A |
Jan.15.2010 |
Compiler
- 連接中(Verilog2001)のsigned 演算バグを修正(F.A.Q. 720)
|
3.74D |
Jan.12.2010 |
GUI
- アナログパラメータでThicknessを変更したときにListCntrl Textの色まで変わってしまう問題を修正
|
3.74A |
Oct.19.2009 |
Compiler
- Implicit wire でセンスしないバグを修正 (F.A.Q.706 )
- 階層名がinvalid時エラーメッセージを改善(F.A.Q 705)
GUI
- 表示 修正 Cascade->Stack(F.A.Q.700 )
Installer
|
3.73D |
Sep.4.2009 |
GUI
- インストーラをUpdate
- マルチモニタに対応
- Windows初期位置制約を緩和
- CascadeWaveformviews をメニューに追加
|
3.73A |
Aug.8.2009 |
Compiler
- Procedural 構文中のsigned メモリの不具合修正
|
3.72A |
Jul.23.2009 |
GUI
- bit 逆順の追加改善(F.A.Q.683 )
- Altera ライブラリautomatic パスサーチ追加(F.A.Q 681)
- クリップボードにコピーを追加(F.A.Q.682 )
|
3.71C |
Jul.7.2009 |
GUI
- VCD シフト/スケールバグFix
- グループ信号表示の改善
|
3.71B |
Jul.6.2009 |
GUI
- グループ信号のグループ信号化・ビットセレクト・パートセレクトのサポート(F.A.Q.677)
|
3.71A |
Jul.5.2009 |
GUI
- Large VCDファイルに対応(F.A.Q. 675)
- ModelSim(TM)生成のVCD−VCD比較に対応(F.A.Q 676)
|
3.70B |
Jul.2.2009 |
GUI
- 3.70A:VCD プロジェクト再ロード時の不具合を修正
|
3.70A |
Jul.2.2009 |
GUI
- メモリ割り当て速度アルゴリズムの改善
- VCDメモリ開放アルゴリズムの改善
- VCD読み込みアルゴリズムの改善
|
3.69C |
Jun.30.2009 |
GUI
- VCD Projectでの比較、シフトスケールに対応(F.A.Q673)
- Save Error のFix (VCD付プロジェクト)
- WaveformView Compare用のAdd Menuを追加
|
3.69B |
Jun.26.2009 |
GUI
- グループ信号パートセレクト表示の変更
- 右詰モードでバス幅表示を追加(F.A.Q 671)
|
3.69A |
Jun.25.2009 |
GUI
- Xilinx ライブラリ設定専用ダイアログ追加(F.A.Q 670)
Compiler
- Save ErrorのFix(VCD付プロジェクト)
|
3.68B |
Jun.24.2009 |
Compiler
- $recem :negative timing check記述に対応
|
3.68A |
Jun.23.2009 |
GUI
- MyColor を2種追加 (F.A.Q694 )
- Force_textout_transparent を追加
- 波形比較機能追加(F.A.Q 693)
|
3.67A |
Jun.20.2009 |
GUI
- Fix save error
- sort back by scope オプション追加(F.A.Q692 )
- VCD scale 追加(F.A.Q 691)
|
3.66A |
Jun.19.2009 |
GUI
- WaveformViewer Scope名出力右詰めオプション追加(F.A.Q.689 )
- WaveformViewer VCD シフトオプション追加(F.A.Q 690)
- WaveformViewer タイムユニット表示改善(F.A.Q 684)
- WaveformViewer レジストリ初期化メニュー追加(F.A.Q 687)
- WaveformViewer ListViewer右クリックメニュー整理(F.A.Q.688)
- WaveformViwer wildcard 改善
Compiler
- Error Msg 改善(F.A.Q 685/686 )
|
3.65A |
May.31.2009 |
GUI
- Waveform Viewer short pulseの表示改善(F.A.Q 682)
- Swapped Button Image (F.A.Q. 683)
|
3.63A |
May.22.2009 |
Compiler
- Fixed a crash probelm (F.A.Q. 679)
|
3.62A |
May.2.2009 |
Compiler
- Fixed a gate 0 delay bug.
|
3.61B |
Apr.29.2009 |
vpi.dll
- $display Format 出力改善(F.A.Q. 678)
|
3.61A |
Apr.29.2009 |
Compiler
- Fixed $setuphold bug. (F.A.Q 643)
- Improved Error handling for defparam (F.A.Q 677)
- Improved Error handling for invalid instance(F.A.Q676 )
|
3.60A |
Apr.19.2009 |
Compiler
- $setuphold の問題をFix(F.A.Q.643)
|
3.59C |
Apr.17.2009 |
GUI
vpi.dll
- $readmemh のパラメータが定数でないときの不具合を修正(F.A.Q.670)
|
3.59B |
Apr.11.2009 |
GUI
- 名前を付けて保存のメニューがでない問題の修正(3.59A)
|
3.59A |
Apr.7.2009 |
GUI
- Add Pro-VM License Option(VMware下でのライセンスオプションを追加 F.A.Q.
660)
Compilier
- シングルファイルでのプロジェクト設定を禁止 (F.A.Q. 661)
- 不要なvtakdiskファイルをプロジェクト終了時に削除 (F.A.Q.665)
- Fixed crash on null assignment in generate loop (F.A.Q.662)
- Fixed bug of signed wire array. (F.A.Q 664)
- Fixed bug of memory display in very large design on waveform view (F.A.Q
658)
- Fixed older/older log file problem (F.A.Q 657)
- Fixed crash on empty generate statement.(F.A.Q.662)
|
3.55B |
Mar.29.2009 |
Compiler
- Fixed bug of memory display in large design on waveform view(F.A.Q658)
- Fixed bug of memory display in trace mode.
|
1.04 |
Mar.29.2009 |
NPP plugin
|
3.54H |
Mar.21.2009 |
Compiler
- Issue Error for duplicate inlined named parameter(F.A.Q.651)
- Add severe warning for inline parameter overload (F.A.Q 650)
- Update diagnotics
GUI
- Improvement for memory address display range..(F.A.Q 653)
- Update diagnotics
|
1.03 |
Jan.25.2009 |
NPP plugin
|
3.54D |
Jan.12.2009 |
Compiler
- Faster Compilation in reduction phase
- Improvement of Ganerate Handling
- Improvement of SDF error message
- Apply suppress R.T. waning to "beyond index error".
GUI
- Remove compiler optimization 2
- Change default setting of save/restore
|
3.54A |
Jan.1.2009 |
Compiler
- Add error check for invaild order range declaration( F.A.Q. 602)
- Support net real delay (F.A.Q.601)
- Support net real arithmetic operation (F.A.Q.601)
- Bug Fix of bit extension for signed ternary
- Bug Fix of signed ternary
- Bug Fix of bit extension for signed comparison
|
3.53C |
Dec.17.2008 |
Vista64のサポート
GUI
- Compiled with Large Address
VPI.dll
- Improvement of Decument view's resizing (F.A.Q.594 )
- Improvement of "Wild search Dialog"
- Bug Fix of grid scale (F.A.Q 593)
- Add bit/part select of grouping (F.A.Q 591)
- Compiled with Large Address
- Improvement for Grid line Color(F.A.Q595)
Compiler
- Compiled with Large Address
|
3.53A |
Nov.26.2008 |
GUI
- wild search 改善(F.A.Q.585/588)
- waveform manager 改善(F.A.Q.586)
- marker enable をプロジェクトに保存(F.A.Q.589)
- magnify modeをプロジェクトに保存(F.A.Q.590)
- disable range の改善 (F.A.Q.592 )
|
3.52C |
Nov.15.2008 |
Compiler
- 大規模デザインでSTEP動作が出来ない問題の修正(3.48A-)
|
3.52B |
Nov.15.2008 |
Compiler
- 大規模デザインでalways @*を含む回路のコンパイルできない問題の修正(F.A.Q.583)
- always @* 内の duplicate task call 時のバグ修正(F.A.Q.583)
- Specify Section内のspecparamのサポート(F.A.Q.582 )
|
3.51C |
Nov.12.2008 |
Compiler
- Specify Section 外のspecparamのサポート(F.A.Q.582)
|
3.51B |
Oct.25.2008 |
GUI
- Shift + 右クリック(ダブルクリック)で縮小を追加(F.A.Q(579)
|
3.51A |
Oct.23.2008 |
Compiler/GUI
- Fixed macro replacement in timescale (F.A.Q562)
- Zoom機能強化(F.A.Q.567/568/569)
- $finish(0/1) サポート(F.A.Q. 572)
- Duplicate Top Level Moduleに対しWarning (F.A.Q. 574)
- ツールチップキャプションテキスト修正(F.A.Q.575)
- specparam パースのサポート(F.A.Q.576)
- システムタスク修正(F.A.Q. 577)
|
3.50A |
Sep.2.2008 |
Compiler
- Fixed Crash on library compilation in parse (F.A.Q.551)
- Fixed %d display with suffix (F.A.Q.553)
- Addressed to file name on space include at opening.editor
- Add lineno for EC2619 Error. (F.A.Q.557)
- Add lineno on truncated constant (F.A.Q.588)
GUI
- Fixed crash on new project dialog.(F.A.Q 560)
- Add Radix Octal for WaveformViewer (F.A.Q559)
- Fixed dangling character in editor tool-tip (F.A.Q.554)
|
3.49C |
Aug.20.2008 |
Compiler
- Fixed Compile Error (F.A.Q.548)
- Minor Improvement of Compiler message( F.A.Q.547)
vpi.dll
- Display Buffer (256B->2048B)
|
3.49B |
Aug.6.2008 |
Compiler
- Fixed crash on enabled option of memory value displayed (F.A.Q546 )
- Fixed bug of unsized constant operation (F.A.Q543 )
- Fixed crash on $feof (F.A.Q545 )
|
NppVeritakPluigin102.dll |
Aug.4.2008 |
- Addresses to Notepad++5.03 (F.A.Q544)
|
3.48A |
Jul.26.2008 |
Compiler
- Fix == / != issue with zx handling (F.A.Q.578 )
- Fix ~ expression width
- Fix binary operator with zx handling (F.A.Q.578)
- Break 32KB command-line restriction using veritak_src_files.txt (F.A.Q
577)
- Clear registory by unstallation (F.A.Q 575)
- Fix udp support of init (F.A.Q 586.)
GUI
- Delete internal signals in scope tree view (F.A.Q 588 )
- Minor Improvement of left pain of Waveform View(F.A.Q 589 )
- Add "Force List Ctrl Text" otpion in Color setting Dialog(F.A.Q588
)
- Longer stay time of Tool tip in list of waveform view(F.A.Q589 )
|
3.46C |
Jul.2.2008 |
Compiler
- MemoryWord String の不具合(3.44A-) 修正 (F.A.Q. 574)
- always @(*) ケースバグ修正 (F.A.Q.573)
- FastModeでのsigned div 演算バグ修正
|
3.45E |
Jun.17.2008 |
GUI
- WaveformView上の検索、Scope上の検索を追加(F.A.Q.569)
|
3.45D |
Jun.15.2008 |
GUI
- WaveformViewツールバーにショートカットを追加(F.A.Q567.)
- WaveformView Cut 機能改善(F.A.Q.563)
- RunLengthバグFix(F.A.Q566)
SimEngine
- 一時Stop時の時刻表示改善
- function semantics エラーチェックの組み込み(F.A.Q.564)
|
3.45A |
Jun.12.2008 |
Compiler
- Lint チェックをLibraryに適用しないオプションを追加(F.A.Q556.)
GUI
- MACアドレスによるノードロックライセンスを追加 (F.A.Q557.)
- プリンタ設定を継続するように設定(F.A.Q558)
- WaveformView Shift+左クリックでT1カーソルの値をHEXでクリップボードにCOPYする仕様追加(F.A.Q559)
|
3.44C |
Jun.6.2008 |
Compiler
- $value$plusargs 改善(F.A.Q.543)
- $sscanf 改善(F.A.Q.548)
- $random改善(F.A.Q.549)
- Fast Mode バグFIX(F.A.Q.554)
GUI
- "d&" 改善(F.A.Q.546)
- VCD操作改善(F.A.Q.550,551,553)
|
3.43L |
Apr.25.2008 |
Compiler
- Fix always @* sense in named block(F.A.Q. 538)
Linsysvpi.dll
- Updated User Contribution (F.A.Q540 )
|
3.43I |
Apr.20.2008 |
Compiler
- Fixed crash in constant function for invalid parameter (F.A.Q 535)
Command Line
- Add quiet compile mode option ( "--q " ) in command line (See
release_note.txt in Command folder) (F.A.Q 533)
Linsysvpi.dll
- Fixed timescale issue. (F.A.Q537 )
|
3.43G |
Apr.10.2008 |
GUI
- TimeScale 表示改善(F.A.Q.528)
Compiler
- Fixed negative scheduling(F.A.Q.525)
- Fixed $sscanf (F.A.Q. 531)
|
3.43E |
Mar.20.2008 |
Compiler (Fast Mode Only)
- ロジカルOR with constant バグFix(F.A.Q.524)
GUI
|
3.43D |
Mar.13.2008 |
Compiler
- メモリリークバグ修正(3.41Jより発生 F.A.Q.519 )
GUI
- WaveformView カンマ追加 F.A.Q.518
|
1.01 |
Mar.2.2008 |
NppVeritakPlugin.dll
- メモリダンプでダイアログがDisableになる問題の修正
|
3.43A |
Mar.1.2008 |
GUI
- Enum parameter 定義削除でクラッシュする問題のFIX(F.A.Q. 514)
- Notepad++ PluginIf追加 (F.A.Q 455)
|
3.42A |
Jan.31.2008 |
Compiler
- Error 処理追加 (wire とパラメータ名が同一のとき、F.A.Q.503)
GUI
- MultiView WaveformSyncの実装(F.A.Q.502)
|
3.41K |
Jan.20.2008 |
Compiler
- 組み合わせ回路ループチェック上限10->1000 (F.A.Q.499)
- `uselib SKIP処理
|
3.41J |
Dec.19.2007 |
Compiler
- generate 構文下のdefparam バグをFIX(F.A.Q.491)
|
3.41I |
Dec.6.2007 |
Compiler
- プリプロセッサ領域タイムアウト時間変更(F.A.Q483)
- Verilog2001 ConstantFunctionバグ修正 (F.A.Q.484)
- Case Stringバグ修正 (F.A.Q.485)
- Wildcard 検索ダイアログエディット幅拡大
|
3.41G |
Nov.26.2007 |
Compiler
- String Concat 改善(F.A.Q.482)
- Preprocessor領域(50MB->100MB F.A.Q.483)
|
3.41F |
Nov.20.2007 |
Compiler
- Fix EC796 Error (F.A.Q.481)
- Support for 3dimensional wire array on tree view (F.Q.475)
- Bug fix Lint for genvar bit width (F.A.Q.477)
GUI
- Change menu display for "Clear all check boxes for lower.."(F.A.Q.476)
|
3.41E |
Oct.30.2007 |
Compiler
- EC1569 Error Fix(F.A.Q 467)
- Document View のフォント改善(F.A.Q.468)
GUI
- Scope Tree View にメニュー追加(F.A.Q 471)
- 64ビットVector->浮動小数表示改善(F.A.Q.470)
|
3.41B |
Oct.23.2007 |
GUI
- Linsys.dll 追加 (F.A.Q 462)
- 64ビットVector->浮動小数表示追加(F.A.Q.465)
|
3.40A |
Oct.16.2007 |
Compiler
- Fix signed shift (F.A.Q. 463)
Installer
|
3.39D |
Aug.30.2007 |
Compiler
- Function Parameter評価シーケンス変更(F.A.Q.451)
GUI
|
3.39C |
Aug.29.2007 |
Compiler
- Constant Function Bug Fix( F.A.Q.447)
GUI
- カーソルジャンプ機能改善(F.A.Q.446/452/453)
- $rungekutta オプション追加(F.A.Q.450)
|
3.39A |
Aug.22.2007 |
Compiler
- Fix bug in real net delay (F.A.Q.445)
GUI
- Apply suggestions (F.A.Q.444,439)
|
3.38H |
Aug.11.2007 |
Compiler
- Fix bug in parameter real->integer conversion
- Fix bug in parameter div operation with real and integer
- Issue error when duplicated Verilog2001 port declaration is detected(F.A.Q.442)
- Accept Null port in module array
- Accept duplicate Scope declaration in generate (F.A.Q.437)
- Accept Null statement in generate (2001 LRM Extension F.AQ.437)
- Fix crash for invalid net concat.(F.A.Q.437)
- Fix ”(Define) handling in command line (F.A.Q.440)
- Support Actel SDF (F.A.Q.425)
GUI
- Improvement of breakpoint(F.A.Q.432)
|
3.38G |
Aug.4.2007 |
GUI
- F.A.Q.431対応($convert_hex2ver:オプション追加)
- F.A.Q.430対応
- F.A.Q.429対応($convert_hex2ver:ERROR Unknown record type.)
- Project Edit File Dialog ’-’ ’+’ =>Edit でVeripad Open
Compiler
- SDF Timing Check Message 抑制(Suppress R.T.Warning Check)
|
3.38F |
Jul.29.2007 |
Compiler
- Fix parser bug (ISE 9.2 compile error) -F.A.Q.428
- Add support for MIF File(Memory Initialization File) -F.A.Q.427
GUI
- Improve duplicate opening issue (veripad)-F.A.Q.428
- Add new function (Edit "veritak_src_files.txt")-F.A.Q.426
- Add short cut menu on Veripad (Save->Import "veritak_src_files.txt"
->Reload project->Run( Ctrl-T)-F.A.Q.426
|
3.38E |
Jul.14.2007 |
GUI
|
3.38D |
Jul.14.2007 |
GUI
- F.A.Q.421による改善
- WaveformManager選択行状態追加
- ToolBar WaveformView TOP アルゴリズム変更
|
3.38C |
Jul.13.2007 |
GUI
|
3.38B |
Jul.12.2007 |
GUI
|
3.38A |
Jul.11.2007 |
GUI
|
3.37A |
Jul.7.2007 |
コンパイラ
- memoryのalways @*センスバグFix(F.A.Q. 416)
GUI
- WaveformManager小改善(F.A.Q 413 )
|
3.36E |
May.30.2007 |
コンパイラ
- WaveformView Selective Save オプションバグFix(F.A.Q408.)
- インスタンス接続時のピン数の違いのWarningを改善(F.A.Q.407)
GUI
- VCD Viewer use disk オプション、小さいVCDファイルでクラッシュをFix
|
3.36D |
May.24.2007 |
コンパイラ
- RHS幅がLHS幅より100倍以上大きいときにコンパイラクラッシュを改善(F.A.Q.404)
GUI
|
3.36C |
May.24.2007 |
GUI
- プロジェクトダイアログでADD Fileが出来ない問題(3.36A-)のFix(F.A.Q.403)
|
3.36B |
May.23.2007 |
GUI
Compiler
- Invaild Concat記述でのクラッシュ改善(F.A.Q.402)
|
3.36A |
May.20.2007 |
GUI
- ”メモリが足りない”問題のFIX(F.A.Q.401)
- コメント機能D&D改善 (F.A.Q.400)
- コメント機能矢印機能追加
- Add automatic grouping function for VCD(F.A.Q.399)
- Address to timescale of "100fs" for waveform viewer(F.A.Q.398)
- Fix wrong warning for "different timescale..." for vcd project(F.A.Q.397)
Compiler
- Quit wrong warning message(F.A.Q.396)
|
3.35B |
May.13.2007 |
Compiler
- Library Compile順変更(F.A.Q.395 )
GUI
- LostDocumentViewFix(F.A.Q.394)
- 波形コメントバグFIX
|
3.35A |
May.9.2007 |
GUI
- 波形コメントのサポート
- メタコピーアルゴリズム変更(時刻0も出力)
- F.A.Q.397対応
- プロジェクト表示パスを¥から/に変更(F.A.Q.391)
- Add Font setting option for compiler status(F.A.Q.391)
- Add up/down menu in waveform manager(F.A.Q.392)
- Address Zorder in waveform views to waveform manager(F.A.Q.392)
- Add "Name Line" in listview's right click menu(F.A.Q.392)
- Add "New group with name " in listview's right click menu.(F.A.Q.392)
- Add "move up" button in waveform manager(F.A.Q.393)
Compiler
- Support return value on exit in command line.(See release_note.txt in "command"
folder.) -F.A.Q.395
|
3.34F |
Apr.26.2007 |
Simulation Engine
- Optimize Bug Fix (F.A.Q.388/389)
GUI
- F.A.Q.390 対応
- FastModeでのdefault オプティマイズレベルを変更
|
3.34E |
Apr.24.2007 |
GUI
- Vistaで作成した日本語フォルダ下のプロジェクトがロードできない問題のFix(F.A.Q.387)ー
|
3.34D |
Apr.19.2007 |
GUI
- WaveformViewのキャプチャ対象をZオーダTOPに変更(F.A.Q.383)
|
3.34C |
Apr.19.2007 |
Compiler
- Fix UDP compilation error in library folder (3.32B-)
GUI
|
3.34A |
Apr.14.2007 |
GUI
- VCDファイルのプロジェクト化(複数のVCDファイルに対応)-F.A.Q376/266
- Viewer内部キャッシュアルゴリズム変更->大容量に対応 -F.A.Q.379
- VCD Viewrバグ修正 (-F.A.Q.378).
- ListView「信号名でソート」追加
- SlowTrasitionSlopeー>個別Viewに対応
- View COPY−>EMFファイル追加(-F.A.Q. 377 )
- 色設定ダイアログ、VCD他追加
|
3.33A |
Apr.9.2007 |
GUI
- Add an option for "suppress run time warning"
- Add an option "slow transition slope" for waveform view
- Add support of meta-copy of waveform view
Simulation
Engine
- Abolish cpu time report
- Address to "suppress run time warning"
|
3.32E |
Apr.7.2007 |
GUI
- F.A.Q.372不具合FIX(3.31A-)
- F.A.Q.373対応
|
3.32D |
Apr.2.2007 |
Simulation Engine
- include ファイルでVeripadが起動できない問題の修正(F.A.Q 366)
- ライブラリファイルcase sensivie =>変更
|
3.32C |
Apr.1.2007 |
Simulation Engine
- 3.32B不具合Fix(parameter bug 修正)
|
3.32B |
Mar.30.2007 |
GUI
- Compile Time 不具合Fix(3.32A-)
Simulation Engline
- ライブラリコンパイル方法改善 (F.A.Q 364 )
- SDF[ : ] サポート追加
- repeat 項(0) concat (Net) に対応
- fopen Display改善(F.A.Q. 363 )
- parameter offset バグFix
|
3.32A |
Mar.25.2007 |
GUI
- Fixed crash on resizing empty waveform view(F.A.Q. 357
)
- Add shortcut menu for checking all boxes in scope view(F.A.Q 358
)
- Enable Xilinx SDF option
- Change display for compile time/simulation time(F.A.Q 361 )
Simulation
Engine
- Bug Fix for sscanf/fscanf in string including "_"(F.A.Q. 363
)
- Bug Fix for SDF for minus hold/setup
- Add support SDF Removal
- Bug Fix SDF Recovery
- Add support SDF Recrem
|
3.31A |
Mar.20.2007 |
GUI
- Full path display for recent used file path(F.A.Q350 )
- Enum in waveform view improvement(F.A.Q.353 )
- Bit Edge Detection bug fix (F.A.Q.355)
- Spelling correction
- Add new option (Select Save) (F.A.Q85/312.)
- 廃止 "use library.txt "
Simulation Engine
- Address to "Select Save"
- Change Library Compilation method (F.A.Q313/348.)
|
3.30B |
Mar.11.2007 |
Simulation Engine
- 大量のテストベクタ読み込み改善(F.A.Q.351)
- デバッグモードのコンパイル速度改善
- スレッドメモリアロケーション速度改善
|
3.30A |
Mar.8.2007 |
Simulation Engine
- スレッドオーダのオプション追加(F.A.Q.349)
- ブロック下のparameter サポート
- 階層化parameter バグFix
GUI
- プロジェクトオプション追加
- ブロック下のenumをサポート
|
3.29E |
Mar.4.2007 |
Simulation Engine
- Internal Error 3410のFix(F.A.Q.344)
- Libary モジュールでプロジェクトのDefineを有効にする(F.A.Q.341)
|
3.29C |
Feb.27.207 |
Compiler
- 連接リピート項の不具合Fix(F.A.Q.340)
|
3.29B |
Feb.23.2007 |
GUI
- WaveformManagerが出現しない問題のFix
- ソース暗号化の実装(F.A.Q.335)
Simulation Engine
- Invalid記述でクラッシュする不具合の改善
- Netで無限ループ記述クラッシュー>ワーニング表示へ(F.A.Q.338)
- Generate 構文内でのinline 代入のサポート
|
3.28C |
Feb.6.2007 |
GUI
- X で終了時にクラッシュする問題のFIX(F.A.Q333.)
- FindPatternバグFIX(F.A.Q.332)
|
3.28B |
Feb.5.2007 |
Simulation Engine/GUI
|
3.28A |
Feb.5.2007 |
Simulation Engine
- インラインパラメータのコンスタントファンクションの問題をFix(F.A.Q.330)
- Save/Restore機能の再サポート
GUI
- ToolBar New Versionを Defaultに設定
- Document View フォント問題Fix(F.A.Q326/255 )
- Document View 色設定追加
- 色設定ダイアログ Export/Import機能追加(F.A.Q.15)
- フォルダダイアログの初期フォルダ改善
- VistaでないPCのツールチップ改善
- ScopeTreeView 改善(F.A.Q.328 )
- Fast Mode DefaultでNBAオプション:オン->オフに変更(F.A.Q.329)
|
3.27A |
Jan.30.2007 |
Simulation Engine
- assign #delay ロジック変更(ModelSim と挙動を合わせるF.A.Q.325)
GUI
- tri1/tir0 ->W 表示(F.A.Q.327)
|
3.26B |
Jan.19.2007 |
Simulation Engine
- gate array のバグFix(3.26A〜)
- if文定数畳み込み適用(F.A.Q.324)
GUI
|
3.26A |
Jan.10.2007 |
Simulation Engine
- trireg support
- `default_nettype trireg support
- $monitor ("%v") support
- net delay strength support
- cmos/rcmos support
- buf/not range declaration support
- bugfix $display("%v")
- bugfix tranxx
- bugfix strength
- bugfix if (constant)
GUI
- XP/2000 WaveformView Tooltip 改善
- SingleFileDialogの起動フォルダをProjectとは分離
Tutorial
- Xilinx Gate Sim Tutorial変更
|
3.25B |
Jan.1.2007 |
GUI
- Dual CPU以上でSim ProcessのPriorityをaboveNormalに変更
|
3.25A |
Dec.31.2006 |
GUI Vista対応β版 |
3.24F |
Dec.31.2006 |
GUI
|
3.24E |
Dec.30.2006 |
GUI
- ファイルダイアログ起動フォルダ改善(F.A.Q.322)
|
3.24D |
Dec.30.2006 |
VPI
- veritak 拡張オプションでファイルの起動フォルダがソース相対パスになるバグのFix(3.24A〜) −F.A.Q.320関連
GUI
|
3.24C |
Dec.29.2006 |
Simulation Engine
- always @* でクラッシュをFix
- $write("%c")でのDisplay不具合(3.24A〜)Fix
|
3.24B |
Dec.17.2006 |
Simulation Engine
- Conflicting Scope でも処理継続に変更
GUI
|
3.24A |
Dec.14.2006 |
Simulation Engine/VPI.dll
GUI
- Veritak拡張オプション追加(旧仕様との互換モード)
|
3.23F |
Dec.13.2006 |
VPI.dll
|
3.23E |
Dec.5.2006 |
Simulation Engine
- generate if 仕様変更(Ieee1800-2005仕様に準拠 F.A.Q.313)
- Force/Release Error Message 改善 F.A.Q.310)
- block のinnline初期化を廃止 (Verilog2001 LRMに準拠)
- Invalid Scope記述でクラッシュを改善
- Invalid ビット幅Netでクラッシュを改善
GUI
- F.A.Q. 311改善
- Etc(Vista 準備)
|
3.23C |
Nov.14.2006 |
Simulation Engine
- stratixii_atoms.v6.0に対応(F.A.Q.305)
|
3.23B |
Nov.14.2006 |
GUI
|
3.23A |
Oct.30.2006 |
Simulation Engine
- Fix memory port problem (F.A.Q.299)
- Fix always @* bug in memory reference (F.A.Q.300)
- wire Delayをトランスポートディレイに変更(F.A.Q.301)
- VPI Terminate時、cbEndofSimulation をコール
- Save/Restoreでクラッシュ改善
GUI
- メッセージ変更(F.A.Q.302)
- onthefly時のちらつき改善
- Veritakwin -d -Topmoduleオプション追加
- Simulation EngineスレッドのTerminate方法を変更
|
3.21G |
Oct.22.2006 |
Simulation Engine
- Invalid Sensibility Listでのクラッシュ改善(F.A.Q.297)
|
3.21F |
Oct.21.2006 |
Simulation Engine
- Change constant port- Always @* seq.(F.A.Q.295)
|
3.21E |
Oct.20.2006 |
GUI
- Improvement of Entire View(F.A.Q.293)
|
3.21D |
Oct.19.2006 |
Simulation Engine
- Fixed force/release bug in concat operation. (F.A.Q.291)
GUI
- Change Entire View (Toggle SW :Entire View/Last VIew) F.A.Q.292
|
3.21C |
Oct.18.2006 |
Simulation Engine
- Fixed casex bug (F.A.Q.290)
|
3.21B |
Oct.12.2006 |
Simulation Engine
- repeat付きevent_controlのサポート追加(F.A.Q.289)
- real演算ternaryでコンパイル不能になる問題の修正
|
3.21A |
Oct.10.2006 |
GUI
- WaveformViewManager 改善(F.A.Q.286,287)
- Firefox でtutorialがブラウズできない問題の改善(F.A.Q.288)
|
3.20A |
Oct.6.2006 |
Simulation Engine
- VPI cbReadWriteSynchをNBAキューの直後に変更
Preprocessor
- 文字を1文字を含まないファイルでのSystax Errorを回避(F.A.Q 280)
GUI
- Use waveformmanager モードでは、Saveで波形Deleteをしない仕様に変更(F.A.Q
284)
- Scope名をクリップボードにCOPY機能(F.A.Q 277)が動作しない問題のFIX
- Event信号をLIST Viewに表示追加(F.A.Q281 )
|
3.19A |
Oct.3.2006 |
Simulation Engine
- Bug Fix for 3.17(F.A.Q. 279)
GUI
- FileDialogフォルダ改善(F.A.Q.278 )
|
3.18A |
Oct.1.2006 |
Simulation Engine
- Function/Task Callでメモリリーク(Ver3.16-)バグFIX
- モジュールアレーのクラッシュFIX(F.A.Q.274)
- コマンドライン Versionを添付
- preprocessor IF 変更
- vpiPort の実装
- top module 指定追加
- cbReadWriteSynchをNBAキューの直前に配置
- cbReadWriteNBA_veritak 追加(Veritak Unique)
- tf_getnextlongtime の実装
Preprocessor
GUI
- SCOPE名をクリップボードにCOPY(F.A.Q.277)
- Recent History ソート(F.A.Q.276)
- top module 指定 を追加
|
3.17A |
Sep.17.2006 |
GUI
- save/restore 機能の再実装
- waveformview manager インターフェース変更
- Scope Tree View (ソート方法変更 F.A.Q.269)
- Normal ModeでのRunLength追加
Simulation Eninge
- save/restore 機能の再実装
- Net遅延 3value variable Delayのサポート
- ゲート遅延 3Value variable Delayのサポート(F.A.Q.267)
- $singed/$unsigned バグFIX(F.A.Q.270)
- parameter conflict バグFIX
Installer
|
3.16B |
Sep.7.2006 |
GUI
- Fixed bug for "can not open include.. " at single file compilation(F.A.Q.263)
- Fixed bug for " can not restore waveformview size/pos" (F.A.Q.265)
|
3.16A |
Sep.6.2006 |
GUI
- Fixed bug for "can not open include.. " at single file compilation(F.A.Q.258)
- Changed Dialog of CPU ID (F.A.Q.261)
- Save TAB character as tab in console save(F.A.Q.255)
- Add Right Click Menu at Console Edit operation(F.A.Q.255,257)
Simulation
Engine
- Fixed bug of bit-width evaluation to constant net
- Changed sequence at constant net propagation(F.A.Q.260)
|
3.15C |
Aug.31.2006 |
GUI
- プロジェクト上のviewがsingleファイルの操作後に消えてしまう不具合の改善(F.A.Q.253)
- コンソール等幅フォントサポート(F.A.Q.250)
- コンソールをテキストファイルとして保存をサポート(F.A.Q250)
|
3.15A |
Aug.30.2006 |
Simulation Engine
- Constant Comparison bug Fix
- Propagation bug Fix
|
3.14C |
Aug.28.2006 |
GUI
- WaveformViewのサイズRestore(ViewManagerを使わないとき)
- Project設定でcusor-edge mode 表示が反映されていないバグのFIX
|
3.14B |
Aug.25.2006 |
Simulation Engine
- Comparison between (Constant(Left) and NET(Right) bug ( since version 3.0-)
fix
- Bug Fix constant or operation with different size
- Add support $ferror
- Add support $test$plusargs in Net
GUI
- Improvement of WaveformViewManager
- Change thread end-seq.
vpi.dll
- Add file location message with instance name in system task
- Return 0 if $fopen fails
|
3.13A |
Aug.23.2006 |
GUI
- WaveformViewManager の操作性改善(FAQ.243)
Simulation Engine
|
3.12A |
Aug.22.2006 |
GUI
- WaveformViewManager の操作性改善(FAQ.241,240)
|
3.11B |
Aug.17.2006 |
GUI
- WaveformViewManager の操作性改善(F.A.Q.239)
|
3.11A |
Aug.16.2006 |
GUI
- 3.09 single bit 左ペインの表示値が変化しない問題のFIX(F.A.Q.234)
- Drag &Drop挿入箇所の改善(F.A.Q.232c)
- shade オプション追加(F.A.Q.227)
- waveformview manager オプション追加( F.A.Q.146,224)
- Project Edit Delete->Cutに変更 (クリップボードにCOPY F.A.Q.233)
- Thread 終了方法変更
Preprocessor
|
3.10B |
Aug.11.2006 |
GUI
- コンソールスレッドのPriorityを3.08以前と同じに変更
|
3.10A |
Aug.11.2006 |
GUI
|
3.09A |
Aug.10.2006 |
GUI
- Changed internal design of structure in Waveform View
- Add "Use Disk" option as 64bit addressed view
- Add support for CTRL-TAB to move windows(F.A.Q.228)
- Add project option "Inhibit open veripad by $stop/step.(F.A.Q.228)
- Improvement of Enum Function(F.A.Q.226)
- HDL source :Absolute Path ->Relative Path
- Spelling correction
- ??? -> HEX display
- Update Window after $stop (F.A.Q.225)
- Improvement of Project Edit (Include/LIB/Define) (F.A.Q.224・203)
- Apply Recent Files Display ; .vtakprj and .vcd
|
3.08A |
Jul.30.2006 |
Simulation Engine
- Fix bug of self determined bit length in net multiply operation
|
3.07A |
Jul.29.2006 |
Simulation Engine
- Fix bug of constant z/x in procedural expression
GUI
- Change Z color (F.A.Q. 221)
|
3.06A |
Jul.27.2006 |
Simulation Engine
- Fix crash at force statement in task/function
- Fix reference problem to function/task in generated for loop label
- Fix crash at parse analysis at invalid genvar in generated for loop
reference
GUI
- Minor improvement for moving signals in left pain.(F.A.Q.210)
- Minor improvement for views pop up (F.A.Q.212)
- Add key short-cuts insert/"E"->insert Delete/"D"
->delete "C"->copy "V"->paste (F.A.Q.211)
|
3.05A |
Jul.25.2006 |
GUI
- WaveformView右ペインの色設定改善(F.A.Q.213 )
- Project Edito OK->Exit に変更(F.A.Q.214 )
Simulation Engine/vpi.dll
- VPIのサポート拡充
- cbValueChange の問題FIX(F.A.Q.209 )
- vpi_handle_by_name のサポート
- vpiDefName のサポート
- vpiTransportDelayのサポート
- Tutorial サンプル追加
|
3.04C |
Jul.20.2006 |
GUI
- VCD Warningメッセージを改善(F.A.Q.207)
|
3.04A |
Jul.20.2006 |
GUI
- VCD Read時のエラー改善(F.A.Q.205)
Preprocessor
|
3.02A |
Jul.13.2006 |
Simulation Engine
- Fixed bug of part selected parameter with non-explicit range declaration(F.A.Q.197)
- Add support tf_finish,tf_stop
- Fixed bug of floating operation with integer
- Fixed bug more than 33bit unary sign extension
- Changed UDP lexor
- Spelling correction(F.A.Q.194)
- Suport floating net constant
|
3.01A |
Jul.2.2006 |
Simulation Engine
|
3.00A |
Jun.30.2006 |
GUI
Simulation Engine
- 高速化対応
- 2.15- SDFバグFIX
- Self-Determinedの符号修正
Preprocessor
- 'defineのネスト許容レベル(10−>100)
- マルチラインの`defineを正しく処理
- `defineで;が入るとNGのバグをFIX(F.A.Q.95)
- `defineの throughout で`includeが入ると行番号がずれる問題のFIX
|
2.16A |
Jun.17.2006 |
Simulation Engine
- VPI(minimum subset) のサポート(チュートリアル追加)
|
2.15A |
Jun.12.2006 |
GUI
- Reload/Reload&Go/Compileで、Verilogファイルのチェックを追加
Preprocessor
- `ifdef文の`else側での//`compiler directive があった場合の不具合FIX
Simulation Engine
- Net 構文での$timeサポート
- $monitorで、$timeを含む演算をサポート
- 0'bxをコンパイルエラーとしない仕様に変更(エラーは表示)
|
2.13A |
May.31.2006 |
GUI
- 半角を含むフォルダからのDrag&Dropで起動しない問題のFIX(F.A.Q.189)
- VHDLトランスレータがコマンドラインから起動しない問題のFIX(F.A.Q.189)
Simulation Engine
- 0'b0等の記述に対してエラーを明示(使い方F.A.Q.s 91 )
- timeを%dで表示させると、10桁しか表示されない問題のFIX(使い方F.A.Q.s 93)
|
2.12B |
May.19.2006 |
GUI
- onthefly 設定でのReload&Go時のエラー対策版
|
2.12A |
May.15.2006 |
GUI
- Vector でZXを一つでも含むときX Colorに変更 (F.A.Q.187)
- 実行フォルダでのファイルが起動しない問題のFIX(2.11B~)
Simulation Engine
- Verilog 2001 Constant Function がコンパイルできない問題のFIX
- Verilog 2001Generate下のEvent変数のトリガ、Force/Releaseに対応
|
2.11C |
May.8.2006 |
GUI
- on the fly 使用時、波形化けの問題のFIX
|
2.11B |
May.7.2006 |
Simulation Engine
- fork/join でのメモリリーク改善
- define マクロでの指数表現バグFIX(F.A.Q.s 使い方84)
- integer array SIGNED operationバグFIX(F.A.Q.s 使い方85)
- module array でScope Tree View に表示が出ない問題のFIX(F.A.Q.s 使い方86)
- Code Generatorメモリアサイン不具合のFIX
vpi.dll
- 高速化に対応(Internal Use Only)
GUI
- 半角スペースを含むFolderからのDrag&Dropに対応(F.A.Q 179)
- 英語版 X Color追加(F.A.Q.177)
VHDL translator
samples
- physical_to_disk.vtakprj で、run length EnableをDisableに変更(F.A.Q.s使い方83)
|
2.10A |
Apr.12.2006 |
Simulation Engine
- while(expr); に対応(F.A.Q.s 174)
- forceのエラーメッセージ改善(F.A.Q.s 使い方81)
vpi.dll
- $fscanf 後の$fgets 改善(F.A.Qs 使い方82)
GUI
- RunLength Menu改善(F.A.Q.s 165)
|
2.09A |
Apr.7.2006 |
Simulation Engine
- SDF のバグ修正(2.05〜)
- SDF ディレイ計算方法変更
- real delay演算の64ビット化
GUI
- RunLength 改善(F.A.Q.s 165)
- プロジェクトのDBLCLICKで、空白を含むパスで起動しない問題の改善
|
2.08A |
Mar.25.2006 |
Simulation Engine
- 2.07A でSave/Restoreが出来ない問題のFIX(RunTime thr-check )
|
2.07A |
Mar.19.2006 |
Simulation Engine
GUI
- VHDLトランスレータ起動後、再起動しなくなる問題のFIX(2.06〜)
- VCD Drag & Drop でクラッシュ改善(2.0〜)
- VCD波形が欠ける不具合をFIX(1.76〜)
|
2.06A |
Mar.8.2006 |
インストーラ
GUI
Simulation Engine
- Change Power on Seq.(Always comb->propagation ->initial->always)
|
2.05A |
Mar.6.2006 |
Simulation Engine
- Change Power on Seq ( Always->propagation->initial)
- Change SDF delay mode (Inertial ->Transport) in IO path
GUI
|
2.04A |
Mar.2.2006 |
Simulation Engine
- real const delay 減算バグFIX
- SDF port Delay: 慣性遅延からトランスポートDelayに変更
GUI
- Ex/In ボタン追加(F.A.Q.155)
- Simulation Engineへのコマンドパラメータ受け渡し2.03のバグFix(preout.v等が出力されない。)
|
2.03B |
Feb.27.2006 |
GUI
|
2.03A |
Feb.26.2006 |
save/restore の実装β版
Simulation Engine
- Add save/restore function
- Address to larger design size (Stack 1MB->100MB)
- Fix concat 0 lenght expression
- Add verilog 2001 implicit net at continous assign
- Fix generate bug (parameter override)
- Fix assign/deassign bug under generate
- Address to vpi cbStartOfSave/cbStartOfRestart
GUI
- Add save/restore function
- Address to larger design size (Stack 1MB->100MB)
- Change shared file structure
- Add Multiple steps value Dialog
- Add X dot color
math_vpi=> vpi.dll
|
2.00A |
Jan.27.2006 |
Simulation Engine
- 同じScope名のラベルをエラーとする仕様に変更
- task/function宣言で、input a; real a; のサポート(1995)
|
1.99A |
Jan.24.2006 |
Simulation Engine
|
1.98A |
Jan.18.2006 |
Simulation Engine
- genvar がinvalid/constant functionが見つからない ときのエラーメッセージを出力
- Lint Option 後方宣言で、階層名での参照のWarningの抑止(F.A.Q.s使い方No.69)
|
1.97A |
Jan.5.2006 |
GUI
- Veritak-Lite (書籍用β Release :Internal Use only)
- Tool Tipが出ない不具合FIX(Verion 1.95-)
Simulation Engine
- Verilog 2001 module array bug fix (Verion 1.82-)
- FileInfo構造体内部変更
|
1.96A |
Dec.23.2005 |
Simulation Engine
- ゲートに接続される信号のピン数が一致しない。Error->Warningに変更(Actel
Library 対応)
|
1.95A |
Dec.22.2005 |
Simulation Engine
- scalared/vectored のサポート
- generate 階層化index のサポート
- scope 探索内部仕様変更
- parameter real 比較演算のサポート
- generate wire inline 宣言のサポート
- trace モード(Version 1.7x -)のファイル参照バグFIX
vpi_user.h veritak2.lib については、変更ありません。
|
1.94A |
Dec.17.2005 |
GUI
- Project 編集 Include指定で、ファイルがないときの操作改善
- Windowの整列にScope Viewも加える仕様に変更
- 整列とファイルにショートカットキー追加
- Install Package: Coding Style HCAスクリプト追加
- 中国版初版
vpi_user.h veritak2.lib については、変更ありません。
|
1.93A |
Dec.12.2005 |
GUI
- HOST ID ダイアログ追加
- DLLのサポート(VPI)
- プロジェクト設定チェックボックスの表示が消える不具合をFIX
Simulation
|
1.92A |
Dec.4.2005 |
Simulation Engine
- 中国語の実装(GB2312)
- ESCAPE シーケンスバグFIX
- $fopen :プロジェクトinclude dir も探索する仕様に変更
VPI.dll
- Strength 表示バグFIX(-1.89A)
- $sformat %v 対応
GUI
|
1.91A |
Dec.3.2005 |
Preprocessor
GUI
|
1.90A |
Nov.28.2005 |
Simulation Engine/Preprocessor
|
1.89A |
Nov.23.2005 |
Simulation Engine
- Fixed bug of bit length evaluation in parameter
- Fixed bug of signed operation in parameter (verilog 2001)
- Fixed bug of index/part select in system function/task
- Fixed bug of signed operation in system function/task (verilog 2001)
- Fixed bug 1.86A -(compiler crash)
Preprocessor
- Undefined macro operation :Warning ->Error (follow XL)
|
1.88B |
Nov.18.2005 |
Simulation Engine
- Fixed bug of bit length evaluation in calling of expression-function/Task
|
1.87A |
Nov.17.2005 |
Simulation Engine
- tran のバグFIX
- net delay のサポート追加
Preprocessor
- Defineのプロジェクト定義 replacement 構文にも対応
|
1.86A |
Nov.15.2005 |
vpi.dll
- Support additional parameters of $fread(memr,fp,start,count)
- Fix spelling (opend->opened)
Simulation Engine
- Fixed bug of bit length evaluation in calling of expression-function
- Fixed bug of signed operation in calling of expression-function
|
1.85A |
Nov.13.2005 |
Simulation Engine
- String 中の\\" 不具合FIX(レキサ、プリプロセッサ変更)
- Invalid port 宣言 =>エラー処理追加
- Binary String 処理 不具合Fix
- $value$plusarg/$test$plusarg 対応
vpi.dll
- $value$plusarg/$test$plusarg 対応
- $fread memory leak bug fix
- $fgets エラーチェックを強化
- $fscanf \n を読まない不具合FIX
GUI
- $value$plusarg/$test$plusarg 対応
|
1.84A |
Nov.10.2005 |
Simulation Engine
- real constant への変換で異常値になることがある不具合のFIX
- Define のプロジェクトを通したPropatationに対応(prepre_processed.v )
- Library の読み込み用に"library_files.txt "生成
GUI
- プロジェクト設定 on the fly updateを追加
- Define Propagation per file/throughout Project を追加
- Use "library_files.txt" を追加
Preprocessor
|
1.83A |
Nov.5.2005 |
GUI
- Page Scroll :: entire length -> scrolling 1/8 length
- Scope Tree View i/o/io -> blue color when variable is used
Simulation Engine
- Fixed bug of bit length for evaluation in calling of net function
- Fixed bug of 1.82 waveform view in array
- Fixed bug of 1.82 net propagation of array for index
- Fixed bug of $signed (index/partselected array)
- Improvement of crush of invalid argument of array
|
1.82A |
Oct.31.2005 |
Simulation Engine (内部アーキテクチャ変更)
- Function のインライン化(NBA スイッチ時)
- 大規模SDFでクラッシュする問題に対する改善
- 配列アーキテクチャ変更(高速化)
- 長Vector(2050ビット)以上のオペレーションの高速化
|
1.81A |
Oct.21.2005 |
Simulation Engine
- 1234'dx 1234'dz 1234'd? のサポート追加(Verilog 2001)
|
1.80B |
Oct.20.2005 |
1.80A にCommandフォルダを追加 |
1.80A |
Oct.18.2005 |
Simulation Engine
- EC3958 Error のFIX (2050ビット以上のDelay付NBA構文)
|
1.79A |
Oct.12.2005 |
vpi.dll
確率系システムファンクションの追加
- $dist_normal
- $dist_exponential
- $dist_poisson rtl_dist_poisson
- $dist_chi_square
- $dist_t
- $dist_erlang
- $normal_vtak ($dist_normalのreal版 Veritak独自Function)
Simulation Engine
- VCD でNamedBegin をサポート
- 配列ーがInvalidのときのパーサクラッシュをFIX
- 配列アクセスの若干の高速化
GUI
|
1.78A |
Oct.7.2005 |
Simulation Engine
- 遅延値指定(3値形式Expression)のサポート(使用方法55)
|
1.77A |
Oct.6.2005 |
Simulation Engine
- 乗算器・コンパレータ、実行エンジンの速度改善(Xilinx memory 動作・Altera
PLLのシミュレーション速度改善)
- 1.76AのバグFIX(disable が効かずハング、compare 演算)
|
1.76A |
Oct.4.2005 |
Simulation Engine
内部アーキテクチャ変更
- $stop/$flush で暗黙的に$dumpflush を実行に変更(要望List93 )
- CPU Time Report対応(要望List02 )
- LEVEL2 OptimizeバグFIX
- assign 文の実装を変更(要望List101)
- port 接続で、同名の場合の省略可(要望List97 )
GUI
- CPU Time Report Option をプロジェクト設定に追加(要望List93)
- LIST Viewの階層名でソートを追加(要望List102)
- コンパイルエラーの色分け(要望List98 )
|
1.75A |
Sep.20.2005 |
Simulation Engine
- $fflush,$fseek,$rewind,$ftell のメモリリークFIX
- $random を符号付に変更(LRM準拠)
|
1.74A |
Sep.13.2005 |
要望List,89,90,91,92の実装
Simulation Engine
- $fread,$fflush,$fseek,$rewind,$write("%u"),$ftell のサポート
- source_relative オプション($readmemh,$fopen等のソース基点相対パスのサポート
GUI
- ビット展開した1ビット信号のListView上Value表示をサポート
- ビット展開すると展開前のValueが変化しない不具合の対策
|
1.73A |
Sep.8.2005 |
Simulation Engine
- Optimize Level2 のバグ(1.71- Net比較演算)修正
- Trace_Mode2のバグ(1.71-、クラッシュする)修正
- NBA Option追加(Interim)
GUI
- 要望LIST 87,88の実装
- NBA Option追加
|
1.72A |
Aug.30.2005 |
Command Version をインストールPackageに追加
Simulation Engine
- for 文内での初期化式/ステップ式の変数にビットセレクト/パートセレクトが合った場合の不具合Fix
|
1.71A |
Aug.7.2005 |
Simulation Engine
- tran/rtran/tranif0/tranif1/rtranif0/rtranif1 のサポート
- $sreadmemb/$sreadmemh のサポート
- Lint Option2(暗黙宣言、浮いたWire/Reg/ポートのWarning)の追加
- Primitive ゲートの名前表示バグFIX
- Drive Strength 演算バグFIX
- NullポートバグFIX
- Net Optimize の強化
- 内部インタープリタ命令一部変更
- Local NETのUnique化
- strength 表示のサポート
GUI
- WaveformView のメモリ効率をUp(TODO LIST86)
- Lint Option2 追加(TODO LIST83)
- Veritak ProjectとVCDのtimescaleが一致しないバグFIX
- ViewのBMPでの波形Save機能追加(TODO LIST84)
- 信号名の並び順[xx]の括弧内を数値としてソート(VCD/TREE Viewer)
|
1.70A |
Jul.11.2005 |
GUI GUI Veritak要望リストによる実装19
- CTRL+RButtonで、ToolTipのオンオフ追加
- C+RL+RButtonプロジェクト追加
- WaveformViewのクリップボードコピー(BMP)と印刷のサポート
- VeriPad以外のEditorを使うIFの実装
Simulation Engine
- verilog2001 generate バグFIX (複数のモジュールで、プロセス文と信号宣言が混在するとコンパイル不可)
- genvar 宣言の構文チェックの強化
|
1.69B |
Jul.7.2005 |
GUI
- 1.68で、CTRL+Wheel->ツールバーの拡大でハング修正
|
1.69A |
Jul.6.2005 |
GUI
- *.tf ファイルをプロジェクト構成Verilog Fileとして許容
- SDF Min/Typ/Max のラジオボタンが消える不具合の改善
Simulation Engine
VeriPad
- *.tfファイルをVerilogファイル扱いに変更
|
1.68A |
Jul.4.2005 |
GUI Veritak要望リストによる実装18
- コンパイルエラーで、View Formatが消える=>Format維持に変更
- CTRL +ホイールの拡大率2-5倍 -> 20%程度に変更
- Grid の定義メニューダイアログによる方法を追加
- アナログ展開波形の保護
- 波形色 右クリックメニューに追加
- SDF option Min/Typ/Max選択をプロジェクトに追加
- Tree Viewからの挿入の改善
- タスク履歴スレッド履歴メニューの廃止
- ブレークポイント All Clear メニュー追加
- Alt/CtrlでのAltトグル動作をしないように改善
- Run Length メニュー追加
Simulation Engine
- Run Lengthに対応
- SDF Min/Typ/Maxに対応(Actel)
- SDF パーサ Pathpulseでパースエラーとなる問題の修正(Actel)
- 特定KEYWORDでSDFパースをスキップしてしまう問題(Actel)の修正
- SDF パースエラーの明示
- Lint機能改善
VeriPad
Tutorial 更新(FireFoxに対応)
|
1.67A |
Jun.27.2005 |
GUI
- マーカ、T1/T2カーソルの選択ボタンのアイコン変更
- enum Do ファイルでcolor 書式をサポート
Simulation Engine
- readmemh、writememh等のシステムタスクで、メモリインデックスアルゴリズム改善(メモリ使用量の削減 1/5〜1/10)
- メモリ宣言で、メモリを確保できなかったとき、エラーを捕捉、エラーメッセージを出力
|
1.66A |
Jun.25.2005 |
Veritak要望リストによる実装17
GUI
- マーカ、T1/T2カーソルの選択ボタン追加
- マーカ、T1/T2カーソルのOn/Offボタン追加
- mag_modeをプロジェクトoptionに追加
Simulation Engine
- libに関係の無い拡張子のファイルを解析対象としない仕様に変更
|
1.65B |
Jun.23.2005 |
GUI
- 信号のないところのダブルクリックでアプリケーションエラーバグFIX
|
1.65A |
Jun.22.2005 |
Veritak要望リストによる実装16
GUI
- アナログパラメータの設定で「Color」を追加。
- パルスカウント数をステータスバーに表示
- 信号名選択し、Ctrl+ダブルクリックで「空白行に名前を付ける」仕様追加
- グループ化でEx. groupxx[15:8]という名前も許容とした。
- 信号をドラッグした時にESCによるキャンセルを可能とした。
- ホイールによる波形移動は加速スクロール対応
- 波形表示で、キーボードHome/End/PageUp/PageDown ←→↓↑仕様追加
- Do File enum saveのバグFIX
|
1.64A |
Jun.17.2005 |
Veritak要望リストによる実装15
GUI
- Drag&Drop 相対パスバグFIX
- マウスカーソル部拡大機能追加、F1KEYで、T1,T2,MARKER,Mouseを循環
- Doファイル Xでクラッシュを改善
- Doファイル 上書き保存の確認追加
- TreeViewからWaveformView の複数信号のD&Drop機能改善
- TreeViewからWaveformView の複数信号の信号追加機能改善
- Simulation中は、View Drawしない仕様に変更(アプリケーションエラー対策)
Simulation Engine
- continuous assign 文で宣言されていない信号をエラーにする仕様に変更(LRM準拠)
- Implicit NET =>Lint Warningに追加
- 宣言が後にあるステートメント =>Lint Warningに追加
Samples
- veritak.bat 変更(GUI仕様変更による)
- xilinx sram 変更
|
1.63B |
Jun.14.2005 |
GUI
- T1カーソルがディスプレイ表示外に移動するとT2カーソルが消えてしまう バグのFIX
- Group機能。(例えばa[15:0]をa[15:8]とa[7:0]に分けてグループ化した場合、プロジェクト の再ロードもしくはa[15:8]を移動すると、この信号もa[7:0]となってしまう)現象の防止用にGroup+No++を生成時にアサインに変更
- 32ビットバスの「アナログ符号なし」が正常に表示されない(最上位ビットが反映されない)バグのFIX
- プロジェクトにDefine設定項目を追加を追加
- Project ・Single FileのDrag&Dropは、Goボタンを待たずにシミュレーションを開始に変更
- Project・Single FileのDrag&Dropは、相対バスも受け付けるように変更
- Simulation Compiler/Engineに対するコマンドを"veritak_command.txt"としてProjectフォルダにSaveを追加
Simulation Engine
- case文のExpr項が定数だった場合のバグのFIX
- signed同士の比較演算のバグFIX
- 命令コード内部変更
- invalidなDecimal表現でクラッシュするバグの改善
- NETの数値Unary表現でクラッシュするバグの改善
- Specify Section のスペリングミス修正
Samples
- Command SWでのシミュレーション例を追加(samples¥veritak.bat)
- Altera Gate Simulation Quartus 5.0の論理合成結果を使用
|
1.62A |
Jun.1.2005 |
GUI
- VCD WaveformViewer のScope表示バグ=>改善
Simulation Engine
|
1.61A |
May.19.2005 |
Simulation Engine
- wire 定数の符号付ビット拡張のバグFIX
- generate case defaultで、ステートメントがない場合のクラッシュ改善
|
1.60A |
May.12.2005 |
Simulation Engine
- generate case 改善 (zx case)
- $display/$fstrobe/$monitor %e バグFIX
- $display/$fstrobe/$monitor ,,カンマの連続=>Spaceに(LRM準拠)
- ポート宣言 ouptput integer a=exprに対応
- ポート宣言 output time a=exprに対応
- $monitor/$stobe 中のreal演算 EC=34エラー=>real演算のサポート
- $dumpvars 不正な入力でクラッシュ =>改善
- begin-end/fork-join で、ステートメントなしでパースエラー=>改善
|
1.59A |
May.9.2005 |
Simulation Engine
typeフォルダ
- 等幅フォントのレイアウトファイル例追加(verilog_fixed_font1/2/3/4.lay チュートリアル説明追加)
|
1.58A |
May.8.2005 |
Simulation Engine
- Lint:連接repeat項のバグ修正
- Ternary のネストが深いときコンパイルが重くなる不具合の改善
- TernaryのExpr項が不定かつzz=>xに修正
math_vpi.dll
|
1.57B |
May.1.2005 |
Simulation Engine
|
1.57A |
May.1.2005 |
Simulation Engine
- case 評価で、「default 文が評価できない」エラーメッセージのバグFIX
- Zero Pulse のエッジ評価方法変更(Non-Blocking Assignで、Zero Pulse Edgeを評価しない)
- $monitorで、timescaleのスケーリングバグFIX
- VCD で、内部Nodeが出力されてしまうバグFIX
|
1.56A |
Apr.25.2005 |
クラック対策4 |
1.55A |
Apr.25.2005 |
Simulation Engine
- signed compare バグFIX
- udp バグ edge FIX
GUI
|
1.54A |
Apr.19.2005 |
Simulation Engine
- Parameter real unary 表現でクラッシュする不具合のFix
math_vpi.dll
- $rungekutta interfaceの変更
- $rungekutta 分子に係数に関するバグFIX
|
1.53A |
Apr.13.2005 |
Simulation Engine
SDFバックアノテーションの高速化1.52比6-100倍 ( 特にXilinx Simprimsで顕著な効果)
- $printtimescale引数がないときクラッシュ=>FIX
- $display、$sformat等で、引数が演算表現であるときメモリリークする問題のFIX
- real遅延値の場合の丸め方法をSCOPE単位に変更(LRM準拠)
- XILINX (SDF/Coregen)デザイン規模が大きいとき、クラッシュする問題の改善
- parameter Verilog2001[ +:] [-:] に対応 (Xilinx Simprimsでの不具合FIX
- Procedual assign/deassign の不具合FIX(Xilinx Simprimsでの不具合FIX
- VCD 読み込み時のError Handling 改善
- VCD 出力にparameterの階層名になる場合がある不具合のFIX
|
1.52D |
Apr.1.2005 |
GUI
一部PCでマーカが拡大しない不具合の対策 |
1.52C |
Apr.1.2005 |
GUI
一部PCでマーカが拡大しない不具合の対策 |
1.52A |
Mar.31.2005 |
Veritak要望リストによる実装14
クラック対策3
Simulation Engine
- real parameter システムファンクション絡みのバグ修正
GUI
- トランスレータ VHDLファイル名.vで出力するオプション追加
- VCD単独でフォーマットSaveする機能(do file)追加
- カーソル単位 タイトルバーを右ダブルクリックで循環を追加
- real 変数のアナログ表示機能追加
- Group機能をDo ファイルに追加
- Scope Tree ViewのLISTVEW VCD波形でrマーク表示追加
- VCD単独Tree時にWaveformviewとTree List Viewハイライト連動を修正
- Group信号のCOPYでの不具合修正
- Doファイル構文エラーでエラー復帰しなくなる不具合の修正
- Doファイルの読み込みをViewが開いていなくてもできるように改善
- VCD信号をD&Dすると階層名表示になる問題の修正
- 同じ信号が連続した場合の折りたたみ不具合の修正
- アナログ表示するとHEX/BINに設定しても戻らない問題の修正
Translator
Veripad
|
1.51E |
Mar.24.2005 |
クラック対策2 |
1.50D |
Mar.23.2005 |
クラック対策版 |
1.51C |
Mar.23.2005 |
GUI
MFC のリンク方法をSTATICからDLL共有に変更
Translator
- プロジェクトのときtranslated.v => プロジェクト名.v に変更(Single Fileは、translated.v
のまま)
- translated.v をtranslate後にプロジェクト追加=>廃止
|
1.51B |
Mar.22.2005 |
日本語チュートリアルの添付
Simulation Engine
- Specify Section サポートしていない旨のWarningを追加
- Verilog-2001 generate 下のマルチアレー、integer/real/realtime/time宣言のバグフィックス
- Xilinx SDF 規模の大きなデザインでのコンパイラクラッシュの改善
Translator
- $conv..等Veritak拡張を使用しないオプション追加
- RANGE、REVERSE_RANGEの改善
- sutypeのバグを修正
GUI
|
1.50A |
Mar.14.2005 |
Veritak 英語Version初版(実行ファイルは兼用、インストーラが違います。)
Xilinx Coregen メモリに対する最適化(速度50%-1000%程度up)
Simulation Engine
- Xilinx Coregen Memoryに対する最適化
- 大きなVectorをMemory扱いにすることを止め,2050ビット以上のVectorは、Scopeに載せないことでメモリを節約)
- コンスタントファンクションのサポートを拡大
GUI
- Document タイトルバーにProject Pathを明示
- Window Vertical 方向の整列を追加
- Windows2000でツールチップが出なくなる不具合を改善
- SDF PATHPULSEをTransport Delayとして実装
- Font をMS UI Gothicに変更
- プロジェクトロード時にカーソル値が表示されない=>改善
Translator
- インスタンス呼び出しを名前結合に変更
- $conv..を廃止
その他
- 英文Tutorial を添付
- 英語化に伴うサンプル修正、移動
- tag file のスペリングミス修正
- 英語版に日本語コメントが混じっていた不具合を改善
|
1.49A |
Feb.21.2005 |
Veritak 英語Version(β1テストバージョン) 英語環境下では英語表示になります。日本語環境下では日本語になります。(使用許可書と、トランスレータは現在日本語のみ)
Simulation Engine
- Xilinx Coregen Memory のCompile (EC=220)の修正
- 大きなVectorをMemory扱いにするThreadholdを10000から2049Byte に変更。Memory扱いにした場合のget_width()修正。
- Code Generatorメモリ取得を静的から動的取得に変更
- parameter で無用なWarningを抑制
Veritak要望リストによる実装13
GUI
- マウス中ボタン操作<=Ctrlt+LButton追加
- CTRL+マウスホイールUp/Down =>拡大、縮小
- Alt +マウスホイールUp/Down =>垂直スクロール
- LISTVIEWのTOPITEM位置をレジストリに保存、前回状態で起動(SingleViewのみ対応)
- LiSTVIEWのSingle選択をレジストリに保存、前回状態で起動(SingleViewのみ対応)
- Split Window 大きさ=>全てレジストリに保存、前回状態で起動
- .vtakprj のDouble ClickでLoadProject=> Windows2000及び英語環境に対応
その他
- VeriPad メモリ Tooltipで、Crushすることがある不具合を改善
- 英語版に日本語コメントが混じっていた不具合を改善
|
1.48A |
Feb.18.2005 |
Veritak 英語Version(βテストバージョン) 英語環境下では英語表示になります。日本語環境下では日本語になります。(使用許可書と、トランスレータは現在日本語のみ)
GUI
- ソースデバッグモードで、ソースからViewerへ信号追加でクラッシュするバグFIX(Ver1.25 以降のバグ)
- Viewer信号の移動で、SCOPEの表示がなくなる不具合のFIX
|
1.47A |
Feb.14.2005 |
Simulation Engine
- 定数0のシフト演算バグ(0 >>1)のFIX
- スレッドDefault シーケンスを変更、
- ROOT ModuleのEVENT優先オプション追加、Defaultに設定
- $rtoi で、符号付のバグ修正
Veritak要望リストによる実装12
- veritak.log をファイル起動履歴に入れない仕様に変更
- プロジェクト拡張子を.prj=> .vtakprjに変更
- インストーラにassciation.exeを追加 .vtakprjの関連付け設定
- Window の大きさMain/Tree/Waveform/Documentを前回終了時のSIZEで起動 に変更
- 新規プロジェクトの際、上書き保存のWarningを出力
- Single VCDファイルのDRAG&DROP=>VCD VIEWERとして起動
- Single VHDLファイルのDRAG&DROP=> VHDL->Verilogトランスレータとして起動
- Single VファイルのDRAG&DROP =>Verilog コンパイルで起動
- vtakprj ダブルクリック Verilog Load Projectとして起動(XPのみ)
- Waveform Viewer 選択行のハイライト =>仕様追加
- H/L/Zの色と太さ =>仕様追加を指定可能
- Grid =>仕様追加
- LISTViewの レンジ指定 プロジェクトオプションで外す仕様を追加
GUI
- アドミニストレータ権限がないときのクラッシュを改善
|
1.46A |
Feb.7.2005 |
Simulation Engine
- 継続代入文のIndexed Part Select をコンパイルエラーとした。(LRM準拠)
- generate 下のIndexed Part Select (-:)のバグFIX
- Invalidなインスタンス記述でクラッシュを改善
- Invalidなrepeat記述でクラッシュを改善
- $readmemh(b)で、Warningを改善
- wire Arrayバグ改善
- output variable type モジュール宣言をサポート
- preprocessor のタイムアウトを19SECに設定
|
1.45A |
Jan.24.2005 |
Verilog-2001開発Snapshot版
Simulation Engine Verilog-2001機能追加
- @*、@(*) サポート
- task、function のreal portをサポート
- implicit net disable をサポート
- constant functionをサポート
- net delay corner case バグ改善
- power operator のサポート
- for loopで変数宣言がない場合のコンパイラクラッシュを改善
- real Unary定数表現をサポート
- Block宣言内でのparameter 宣言でのコンパイラクラッシュバグをFIX
GUI
Preprocessor
- `line 文があった場合、SKIP処理に変更
- `elsif サポート
verilog.kwd
- ifdef
ifndef
elsif
define
endif
realtime追加
|
1.44A |
Jan.11.2005 |
Verilog-2001機能の開発Snapshot版
Simulation Engine Verilog-2001機能追加
- generate if/for/case 追加
- ANSI C スタイルポート宣言のサポート(UDPを除く)
- parameter signed のサポート
- parameter real/integer/time/realtime/time のサポート
- parameter 名前結合オーバライドのサポート
- localparam のサポート
Preprocessor
- Attribute コメント処理 (* *)のサポート
- 'celldefine 'endcelldefineでWarningがでないよう変更
GUI
- マルチアレーNETのサポート
- プロジェクトOptionにAdd Lib Dirを追加
Simulation Engine
- Net REAL Delay(単項のみのサポート->expressionのサポート)
- REAL Case文のサポート(定数として評価出来る場合のみ)
- 乗算CornerCaseバグ修正
- >>>のBinary 演算符号バグ修正
- $display("%d"、 $signed(a))でも正しく表示されるように修正
mathvpi.dll
トランスレータ
vpi.dll
- Xilinx/Altera のメモリ初期値読み込みで、Projectルートにいない場合のInclude ファルダ探索機能を追加
verilog.kwd
|
1.43C |
Dec.8.2004 |
GUI
グループ信号の操作でクラッシュすることがある問題の修正 |
1.43B |
Dec.4.2004 |
GUI
VCDファイルの1ビット幅信号の読み込みで、グリッチがあった場合の不具合FIX |
1.43A |
Dec.3.2004 |
Veritak要望リストによる実装11
GUI
- カレントプロジェクトSaveボタン追加
- ロジアナ パルスカウント機能追加
|
1.42B |
Dec.2.2004 |
GUI/Simulation Engine
- メモリViewer/ダンプで、メモリWidthが4の倍数でない場合にクラッシュ/Viewerの値異常になる問題の修正
- VCDのグループ保存でクラッシュする問題(1.42A)の修正
|
1.42A |
Nov.30.2004
|
テストリリース(1.41のβリリース)
Veritak要望リストによる実装\
GUI
グループ信号機能追加
Simulation Engine
変更ありません。
|
1.41A |
Nov.21.2004 |
テストリリース(α)
Simulation Engine
速度Upを主眼とした、内部設計変更。計測結果は、こちら
- トレースモード2 function/taskのトレース不備(動作していなかった。)をサポート(KWD追加)
- トレースファイル作成の高速化
- 階層名ESCAPEシーケンスに.が入った場合の不具合FIX
- REAL変数FOR LOOPバグFIX
- ビット幅指定がない`hxc等の加算バグFIX
- REAL変数のDelayサポート(単項のみ)
- REAL変数のFUNCTION サポート
- FUNCTIONスケジューリング変更
- THREAD生成シーケンスの整理
- FUNCTION・TASKから見てWIRE宣言されていない信号が見つからないエラーのバグFIX
- force/release階層参照バグFIX
- force/releaseNETのサポート
- $signed NET加算演算のバグFIX
- 64K個以上のインスタンスのサポート
|
1.29B |
Nov.4.2004 |
Simulation Engine
memory のmoduleをまたぐ参照で、コンパイラがクラッシュすることがある不具合の修正
(Regression Test:mem_hierachy_test.v追加) |
1.29A |
Sep.24.2004 |
Veritak要望リストによる実装[
GUI
- トレースモードのバグ修正(PULLUP・DOWNがWaveformViewから飛ばない)
- トレースモード2PULLUP・DOWNの出力(TEXT)がない=>修正
- 波形FormatをテキストでSave・Loadする メニューを追加(Doファイル)
- パラメータリストがINCLUDEで呼ばれたときでも、ファイル名をトレース可能に改善
- MAP_OF_VIEW 50MB=>25MBに変更 (大きすぎた)
VeriPad
Simulation Engine
|
1.28A |
Sep.21.2004 |
トレースモード2の実装(Veritak要望リストによる実装Z)
VeriPad
- 1.27A〜名前をつけて保存ができない問題の修正
- ソース公開
GUI
- トレースモード2のバグ修正(多数)
- forceがトレースできていない問題の修正
- タグファイルフォーマット変更(VeriPad上で正規表現による検索容易化の為)
- WaveformView/ScopeTreeViewからタグファイルへ飛ぶ メニュー追加
- メモリ[-1:0] でも表示が正しくなるように変更
- モジュール内にソースインクルードファイルがあったとき、ソースへ飛ぶの誤動作を修正
- Resolver等のBit展開で、DispValを出力(INVALID)を止める。
- WaveformViewがでていない状態でのフォントダイアログ=>アプリケーションエラー 修正
SimulationEngine
- $realtobitsのNET表現サポート
- real をNETにアサインしたときNETに初期値が伝播しない問題の修正
- モジュール内にソースインクルードがあったときのために、共有ファイルフォーマット変更
|
1.27A |
Sep.16.2004 |
トレースモード2のβバージョン
GUI
- 1.26AでWaveformViewまたh、ScopeTreeViewがでていないとVeriPadツールチップがでない問題の修正
- VeriPadで、信号選択DBLCLICKで、WaveformView/ScopeTreeViewがでていないとクラッシュすることがある問題の修正
- MAP_OF_VIEW 10MB=>50MBに変更、ラージデザインの読み込みに対応
- トレースモード2のオプションを追加
- VeriPad クリップボードへコピーに対応
- VeriPad 階層名でのTOOLTIPに対応
- VeriPad SCOPE名付きタグジャンプに対応
VeriPad
- VeriPadオリジナルGreenPad1.04=>1.05に対応
- VeiPad検索ダイアログ修正
- 「階層名をクリップボードにコピー」を右クリックメニューに追加
- 階層名のToolTIP表示を可能にした。選択は、階層名でも選択される。
- Breakpointの行番号色と、矢印の色を指定可能にした。
- Scope名付きタグジャンプに対応
- type フォルダにGreenPadの説明readme.txt format.txtを追加
- text.lay,text.kwdをtypeフォルダに追加
Simulation Engine
- Altera SDF Mode Drawn_NexのバグFIX
- トレースモード2に対応 wire a=bでも ドライバを生成するコンパイルモード
- トレースモード For Loopのトレースが正しくない問題の修正
|
1.26A |
Sep.9.2004 |
GUI
- 1.25〜で、REAL型配列でクラッシュする不具合を修正
- WaveformView メモリ表示GUI変更 WORDSによらずアドレスを右クリックで指定できるように変更
- メモリアドレス指定をプロジェクトファイルにSave
- メモリ表示モード HEX固定からRADIXを親から継承に変更
- アナログパラメータをプロジェクトファイルにSave
- トレースモード、複数のインスタンスの場合Scopeがあっていない問題の修正(ノードファンクタ)
- VeriPad Parameter ToolTIP表示 BIN固定から ビット幅に応じた自動Radixに変更
Simulation Engine
- 1.25〜で、REAL型配列でクラッシュする不具合を修正
|
1.25C |
Sep.8.2004 |
GUI
WaveformViewメモリ周辺のクリックでクラッシュする不具合を修正
|
1.25B |
Sep.8.2004 |
GUI
メモリSelection Dialogのバグ(アドレス設定しても展開しない)修正
ダイアログスペリングミス修正 |
1.25A |
Sep.8.2004 |
GUI
- Veritak要望リストによる実装(Y)による改善(メモリのView追加)
- メモリ宣言のView制限Optionをプロジェクトに追加
- トレースモード Editorから追加でクラッシュ修正
- ListView操作時は、マウスホイールが効くように修正
- FindPatternバグ(エッジを検出しないことがある。)修正
Simulation Engine
- トレースモード Resolverによるクラッシュ修正
|
1.24A |
Sep.1.2004 |
GUI
Veritak要望リストによる実装(X)による改善
トレースモード追加
- Veritak要望リストによる項、11,14,16,17,18の実装
- EditorからListViewへの挿入の場合、選択行下に設定
- Enum選択ダイアログで、LIST形式でないものも出力
- メニュー [プトジェクト]=>[プロジェクト]
Simulation Engine
regression_test force_test.v ,force_test2.v force_test3.v追加
|
1.23A |
Aug.25.2004 |
GUI
Veritak要望リストによる実装(W)によるWaveformView操作性改善
- プロジェクトオプション ToolTip Enable,Cursor Edge Modeの追加
- T1-T2カーソル間拡大アルゴリズム変更、View上に固定
- Cursor Edge ModeをプロジェクトSaveに追加
- Cursor 自動Alignを削除
Simulation Engine
・SDFモード クラッシュ不具合(〜1.19A)のため、SDFモードにおいてはパラメータリスト、ステートに名前を付ける機能をDisableとした。
・1.24A(TrackBack Mode追加)への過渡版
|
1.22A |
Aug.20.2004 |
GUI
・ WaveformView ToolTipの語長が長い場合、前半削除を追加
Simulation Engine
・ システムファンクションで戻り値がない場合、コンパイルエラーとしていたが、Warningに変更
・パラメータリスト、ステートに名前を付ける機能で、[msb:lsb]記述がない場合に対応。要素のビット幅をCheckし、一致していない場合Warning出力。
|
1.21A |
Aug.18.2004 |
GUI
Veritak要望リストによる実装(V)
- WaveformViewのカスタマイズ化(フォント、サイズ、色、カーソル太さ)を可能にするOption追加
- Multi-Viewに対する操作性向上改善(全てのWaveformView最小化追加、マルチViewに対する整列改善)
- ScopeTreeViewの操作性改善(下位信号を操作したとき、上位信号が消えてしまう=>改善)
|
1.20A |
Aug.16.2004 |
GUI
Veritak要望リストによる実装(U)
- マーカモードの追加(マーカを名前つきで4つ/Viewまで使用可)
- FIND PATTERNの拡張 (Singleビット幅用に、ダイアログ追加、(POSEDGE,NEGEDGE..)、複数条件に拡張、検索終端メッセージ追加)
- WaveformViewのStatusBarの追加
- WaverormView Signal 選択=>ScopeTreeView Signal選択可視化改善
- WaveformView −ボタンで+拡大することがあるバグ修正
- WaveformViewの整列方法変更
- TreeViewの配置変更、TreeViewが見えなくなる問題の改善、出現時のちらつき改善
|
1.19B |
Aug.12.2004 |
GUI
ScopeTreeViewをWaveformViewの直下にしないモードを追加
ScopeTreeViewを直下に出すときは、最大でFitするように変更
WaveformViewの整列メニューを追加 |
1.19A |
Aug.11.2004 |
Veritak要望リストによる実装(T)
vpi.dll
下記Verilog2001 システムタスクの拡充
- $fmonitor
- $fmonitorb
- $fmonitoro
- $fmonitorh
- $fscanf
- $fgets
- $fgetc
- $ungetc
- $sformat($sprintf)
- $printtimescale
デシマルフォーマット、timeformatの変更
GUI
- マウスでエッジ近くをクリックした時に自動でエッジに移動するモードを追加
- Scopeの列をクリックすることでTreeViewをWaveformViewの直下出力
- ScopeTreeViewerのフルパスを変更
- 下の方のT1,T2,T2-T2の値表示を、3桁毎にカンマをつけるように変更
- プロジェクトファイルの手動バックアップ用に「名前をつけて保存」をメニューに追加
- Project Option Lint機能を追加
- 表示をステート名(Enum)にするモードを追加
Simualtion Engine
- パラメータリストをEnumで、GUIに渡す機能追加
- Lint 機能追加
- SelfDeterminedで決まるファンクションのビット幅不具合修正
- #(Real変数)のサポート
- $display("%f",$realtime)のバグ修正
- real a=1.0; でのコンパイラクラッシュ修正
|
1.18A |
Jul.30.2004 |
Simulation Engine
Altera SDFモードで、プロジェクトファイル順番によりコンパイルできないときがある。
=>インスタンスAlteraのprimitive libraryファイルを”atom”で検出するように変更し、誤動作を防止 |
1.17A |
Jul.29.2004 |
Simulation Engine
Altera SDFモードでEC=352エラー発生を修正 |
1.16A |
Jul.28.2004 |
Simulation Engine
・$fopenで、引数が変数の場合をサポート
・paramter がInvalidのときのクラッシュを改善
・モジュールポートで、"接続先が見つかりません”のエラーメッセージをより詳細に出力 |
1.15B |
Jul.27.2004 |
vpi.dll
$fdisplay等で,ファイルデスクリプタが不正(xが含まれる)のときにクラッシュする問題の修正。ファイルデスクリプタにxが含まれるとき、
VPI ERROR: $fdisplay.. ファイルデスクリプタが不正です。
と表示されるように変更
regression_test: $fdisplay_test.v |
1.15A |
Jul.25.2004 |
Simulation Engine
Altera SDFモードバグをFixしました。 |
1.14C |
Jul.24.2004 |
Preprocessor
includeが、機能していない場合があった。=>修正
Simulation Engine(暫定リリース)
Altera SDFモードバグ。その暫定対策版。Altera SDFシミュレーションでは、本Versionに限りRTLモードに設定してください。 |
1.14B |
Jul.23.2004 |
GUI
Entire ボタンでハングすることがある =>修正 |
1.14A |
Jul.22.2004 |
vpi.dll
$sprintf追加
GUI
tooltipの語長が長いときViewerが落ちることある=>改善
波形比較機能が機能していなかった=>改善
波形表示にストリングを追加
波形表示フォーマットをプロジェクトにSave(前回開いたフォーマットで開く)
Listviewのカラム長をプロジェクトにSave(前回開いたフォーマットで開く)
波形拡大で、極大で開いてしまうことがある。=>改善 |
1.13B |
Jul.18.2004 |
GUI
Viewerでビット展開した場合のバグ修正(wave[msb:lsb] lsbが0でない場合のビット展開した後の波形がおかしい regression_test:reg_sample.v) |
1.13A |
Jul.10.2004 |
Simulation Engine
コードジェネレータのバグ修正 (regression_test:shifter_test.v) |
1.12D |
Jul.4.2004 |
ScopeViewerの並びをアルファベットオーダに改善 |
1.12C |
Jun.21.2004 |
parameterが netの識別子だと、VCDファイルにINVALIDな値を書いてしまう問題の修正 |
1.12B |
Jun.13.2004 |
Simulation Engine
SDFで、Recoveryのサポート(Xilinxゲートシミュレーション) |
1.12A |
Jun.10.2004 |
プロプロセッサ
`include でファイルがない場合、クラッシュする問題の修正
Simulation Engine
Beyond INDEXのメッセージが出る不具合を修正
GUI
プロジェクトファイルの最初のファイルを壊す問題の修正.。"veritak.log"の追加
Waveform Viewer
- Implicit宣言の信号をScope Viewerに表示
- 空白行に名前をつけられる機能追加
- 前回と同じWindowの大きさで起動するように変更
- 空白行でFindPatternを行うとクラッシュする問題の修正
- 信号の並び替えの後、Reloadで順番が狂う問題の修正
|
1.11D |
May.24.2004 |
プリプロセッサ
”Veritak"を固有の定義として追加しました。
使い方は、RegressionTestフォルダのfmonitor_test.vをご参照ください。 |
1.11C |
May.21.2004 |
テストリリースバージョン
1.10A,1.11Aで、Editorからの信号追加およびEntireViewでクラッシュしてしまう問題の修正 |
1.11A |
May.20.2004 |
テストリリースバージョン
Simulation Engine
・Xilinx SDFのサポート
・UDP Instance名なしのバグ修正
・PULLUP,PULLDOWNのバグ修正(pull_up_test.v)
|
1.10A |
May.19.2004 |
テストリリースバージョン
Simulation Engine
・$sdf_annotateのサポート(Altera SDFのみ)
・UDP Instance名なしのサポート
・継続代入文の変数Delayのサポート
・ESCAPE識別子のサポート
・Delay (Rise,Fall,Decaly)バグ修正
Regression Test(logic_delay_test.v追加)
・継続代入文のDelayバグ修正
Regression Test(logic_delay_test.v追加)
・real=>intのデフォルト変換バグ修正
(real_time_test.v)
・長大なVectorは、メモリ扱いに修正
・ArrayのBitSelect,PartSelectバグ修正
(big_vector.v big_vector2.v)
・Array[idx] idxがxの時、xを返す仕様に変更
vpi.dll
・$random:Verilog2001仕様に合致していない問題の修正(random_test.v)
・$display("%m"..のサポート
・$realtime バグ修正:返りビット幅32ビットを64ビット幅に修正
・$readmemh等で、ファイル名が、RegのときHeaderが0だと呼び出せない問題の修正(real_time_test.v)
math_vpi.dll
・$conv_hex2ver追加(IntelHexファイル=>Verilog変換)
GUI
・プロジェクトコンパイルオプション(Altera SDF追加)
・波形比較機能を追加
・Reload時、時間0付近の表示バグ修正 |
1.02C |
May.1.2004 |
Simulation Engine
インスタンスモジュールにNullポートがあった場合、コンパイルエラーとなる問題の修正。
下位ポートと上位ポートのピン数が一致しない場合、Warningをより詳細に出力 |
1.02B |
Mar.29.2004 |
Simulation Engine
1.02Aで、Function 構文エラーとなるバグの修正
vpi.dll
VCDファイルの時間データが異常値になる問題の修正
GUI
VCDファイルの時間データが異常値の場合にハングする問題の修正 |
1.02A
|
Mar.27.2004 |
Simulation Engine
- Real 変数、演算のサポート
- Net 符号付き(Verilog2001)演算サポート
- signed $signed、$usnsigned(Verilog2001) サポート
- <<< >>> 算術シフト演算子(Verilog2001)サポート
- VPI 算術演算Cラッパ math_vpi.dllの追加
- 線形アナログシミュレータ追加 math_vpi.dll
- Net Delayバグ修正
- 32ビット以上の数値Delayのバグ修正
- Real 変数系システムファンクション追加$bitstoreal,$realtobits,$rtoi,$itor=>
vpi.dll
WaveformViwer
|
1.01B |
Mar.8.2004 |
Preprocessor
Simulation Engine
- regression_testフォルダ(macro_test.v追加)
- サンプルフォルダ(vcd_test.v追加)
- VCD 信号名リストをプロジェクトに保存可能にする機能追加
- パラメータエラーメッセージ追加
GUI
WaveformViewer
|
1.01 |
Mar.5.2004 |
Simulation Engine
- UDPのサポート
- Module Instance Arrayのサポート
- UDP Instance Arrayのサポート
- Nonblockingイベント文のサポート
- Net Delayのサポート
- (Min,Typ,Max) Delayのサポート
- 単独起動のサポート
- MutiArray(Verilog2001) PartSel/BitSel バグ修正
- $monitor バグ修正
- Unary/Ternary Operator バグ修正
- Breakpointアルゴリズム改善
WaveformViewer
- Find Patternダイアログ追加
- Breakpoint詳細設定ダイアログ追加
- ToolTipにScope名追加
- 信号リスト名の保存を追加
Translator(1.08)
- Ex. 'b1010=> 4'b1010 ビット幅を明示
Translator(1.07)
Others
- regression_test フォルダ(バグ修正後の確認テスト)をパッケージ配布に追加
|
1.00 |
Jan.31.2004 |
初版 |