Welcome to Our Company
Home
Members
Schedule
Opencores
Members
Members
Purchase
Links

Information

リンク コメント
Opencores  多様なオープンソースハードコアがあります。プロフェッショナルが作ったコアも多数あり、大変参考になります。筆者のYACCコアも収録されています。
多分、プログラミングの天才に属する方だと想像しています。
VeritakのEditor(Veripad)のオリジナル開発者は、この方です。NYSLライセンスの下、使用(改変を含む)させていただいております。C++BOOSTの著作とWEBの解説も大変参考になります。
新聞と言う名前の付いた電子回路の話題豊富なホームページです。電子回路で遊びたくなってくる楽しいページです。
シャノンの符号化定理など、わかりやすいく解説されています。
PGR FPGAで182.4 GFLOPS? 「動的に再構成可能な計算機」に関して、最先端のソフトウェアを開発されています。
この研究の後に、GPUを使った研究で、スーパーコンピューターの分野で最も権威ある賞の1つゴードン・ベル賞を受賞されています。
Verilog.Net  Vendors Verilog EDA Tool のリンク集です。
ASIC TOOLS Verilog ASIC Toolのリンク集です。
このサイトのTutorialは、分かりやすいです。
Verilator Benchmark Test 少し古い、Veritakの2.20X時点のベンチマークが載っています。
ユーザレポートからすると、平均的には、Veritak Fastモードは、VCS/NCの1/4-5のスピードです。
Verilog group 数ある掲示版のなかで、Verilog HDLという言語に関してはレベルが一番高いです。(回答者が、Cadenceのデザイナだったりします。Verilog HDLのSemanticsは、ややもするとDefact ..という言葉で濁されてしまうのですが..筆者も、時々参考にしています。)
Modelsim,Veritak,CVERや、ICARUSで動かない記述(サポートしていない構文やバグ)は、ここをチェックしてみるとよいかもしれません。。
EDA Board こちらは、ASIC関係の掲示版で、初学者の質問も多いのにもかかわらず、なぜかNCや、VCSを使っている人達が多い不思議な、少し怪しい掲示版です。上の掲示版が、多分、米国中心なのに対し、この掲示版は、アジアも含め様々のようです。
ICARUS TEST SUITE 有名なフリーのVerilog シミュレータのテストサイトです。コンパイラの開発は、それと同じくらいTESTが重要であり、1995の開発に関しては、このサイトのテストソース(1000個以上あります。)が大変役に立ちました。
テストサイトのオーナがVeritakのユーザでもあり、助言をいただいています。
Veritak友の会 MIXIでのコミュニティです。あるユーザ様が立ち上げてくださいました。
開発者とユーザのコミュニティです。実装方法についての議論、今後の要望、バグ/パフォーマンスの報告等、があります。