2.2 プロジェクト

プロジェクトファイルは、様々な付帯情報を集めたファイルです。
例で見ていきましょう。

"samples"フォルダにある "vcd_test.vtakprj"を選択します。.
コンパイルの後、Go ボタンを押します。

このように、プロジェクトファイルは、波形フォーマット情報をSaveしています。

2.4.1 VCD
2.4.1.1 シングルファイル

実は、このプロジェクトファイルは、VCD信号のフォーマットも含んでいます。その為には、VCDファイルを読まなくてはいけません。VCDファイルを読む場合は、階層ビューアとWaveformViewを全て一旦消してください。その後、次の手順で読み出します。.
"vcd_test.vcd"を開きます。.

新しいWaveformViewを開くと今度は、 VCD signals も現れました。

Note  "VCD Structure"が、階層ビューアで表示してある方がVCD信号の階層になります。.



VCD を使うときはどんなとき ?

Veritak単独で、RTLシミュレーションを行うときは、必要ないでしょう。しかしながら、以下 の場合は、有用です。.

・他のシミュレータとの交換 VCDは、標準化されたフォーマットなので他のシミュレータと互換があります。.
・Post Layout ゲートシミュレーション
Veritakは、全データをSaveする構造になっていますが、ゲートシミュレーションの場合、大量の遅延データが発生するため、すぐにDiskが一杯になってしまいます。そこで、トップ層の必要な信号だけをモニタすることになります。このときは、VCDで選択、データをSaveすることになります。VCDは、時間ドメインでもSaveする/しないが制御可能なので、長時間のRTL Regression Testでも有用でしょう。
スタンドアローン VCD Viewer
Veritakの波形ビューアは強力なので、ばらばらになったVCD信号を一つの信号として見ることも可能です。フォーマットの保存も可能です。

下の波形で、qw1,qw0 は、Veritak/VCDで同じ信号ですがVCDの方は、Saveを必要としていないときxxをSaveすることで、必要でない情報量の削減をすることが出来ます。.


: